瀏覽代碼

mounika

main
mounika 5 月之前
父節點
當前提交
139158f62f
共有 1 個檔案被更改,包括 9 行新增0 行删除
  1. +9
    -0
      axi_seqr.sv

+ 9
- 0
axi_seqr.sv 查看文件

@@ -0,0 +1,9 @@
class axi_seqr extends uvm_sequencer #(seq_item);

`uvm_component_utils(axi_seqr)
function new(string name="axi_seqr",uvm_component parent);
super.new(name,parent);
endfunction

endclass

Loading…
取消
儲存