mounika преди 5 месеца
родител
ревизия
139158f62f
променени са 1 файла, в които са добавени 9 реда и са изтрити 0 реда
  1. +9
    -0
      axi_seqr.sv

+ 9
- 0
axi_seqr.sv Целия файл

@@ -0,0 +1,9 @@
class axi_seqr extends uvm_sequencer #(seq_item);

`uvm_component_utils(axi_seqr)
function new(string name="axi_seqr",uvm_component parent);
super.new(name,parent);
endfunction

endclass

Зареждане…
Отказ
Запис