Deze website werkt beter met JavaScript.
Beginscherm
Verkennen
Help
Inloggen
kalal_mounika
/
axi_code
Volgen
1
Ster
0
Vork
0
Code
Kwesties
0
Pull-aanvragen
0
Publicaties
0
Wiki
Activiteit
Bladeren bron
mounika
main
mounika
10 maanden geleden
bovenliggende
f64b3b6482
commit
139158f62f
1 gewijzigde bestanden
met
toevoegingen van 9
en
0 verwijderingen
Zij-aan-zij weergave
Diff opties
Statistieken weergeven
Download Patch-bestand
Download Diff-bestand
+9
-0
axi_seqr.sv
+ 9
- 0
axi_seqr.sv
Bestand weergeven
@@ -0,0 +1,9 @@
class axi_seqr extends uvm_sequencer #(seq_item);
`uvm_component_utils(axi_seqr)
function new(string name="axi_seqr",uvm_component parent);
super.new(name,parent);
endfunction
endclass
Schrijf
Voorbeeld
Laden…
Annuleren
Opslaan