Bladeren bron

mounika

main
mounika 5 maanden geleden
bovenliggende
commit
139158f62f
1 gewijzigde bestanden met toevoegingen van 9 en 0 verwijderingen
  1. +9
    -0
      axi_seqr.sv

+ 9
- 0
axi_seqr.sv Bestand weergeven

@@ -0,0 +1,9 @@
class axi_seqr extends uvm_sequencer #(seq_item);

`uvm_component_utils(axi_seqr)
function new(string name="axi_seqr",uvm_component parent);
super.new(name,parent);
endfunction

endclass

Laden…
Annuleren
Opslaan