mounika il y a 5 mois
Parent
révision
139158f62f
1 fichiers modifiés avec 9 ajouts et 0 suppressions
  1. +9
    -0
      axi_seqr.sv

+ 9
- 0
axi_seqr.sv Voir le fichier

@@ -0,0 +1,9 @@
class axi_seqr extends uvm_sequencer #(seq_item);

`uvm_component_utils(axi_seqr)
function new(string name="axi_seqr",uvm_component parent);
super.new(name,parent);
endfunction

endclass

Chargement…
Annuler
Enregistrer