소스 검색

mounika

main
mounika 5 달 전
부모
커밋
139158f62f
1개의 변경된 파일9개의 추가작업 그리고 0개의 파일을 삭제
  1. +9
    -0
      axi_seqr.sv

+ 9
- 0
axi_seqr.sv 파일 보기

@@ -0,0 +1,9 @@
class axi_seqr extends uvm_sequencer #(seq_item);

`uvm_component_utils(axi_seqr)
function new(string name="axi_seqr",uvm_component parent);
super.new(name,parent);
endfunction

endclass

불러오는 중...
취소
저장