diff --git a/axi_seqr.sv b/axi_seqr.sv new file mode 100644 index 0000000..3ac05d1 --- /dev/null +++ b/axi_seqr.sv @@ -0,0 +1,9 @@ +class axi_seqr extends uvm_sequencer #(seq_item); + + `uvm_component_utils(axi_seqr) + + function new(string name="axi_seqr",uvm_component parent); + super.new(name,parent); + endfunction + +endclass