Пожалуйста, включите JavaScript.
Главная
Обзор
Помощь
Вход
kalal_mounika
/
axi_code
Следить
1
В избранное
0
Форкнуть
0
Код
Задачи
0
Pull Request'ы
0
Релизы
0
Вики
Активность
Просмотр исходного кода
mounika
main
mounika
10 месяцев назад
Родитель
f64b3b6482
Сommit
139158f62f
1 измененных файлов
:
9 добавлений
и
0 удалений
Разделённый вид
Опции Diff
Показать статистику
Скачать Patch файл
Скачать Diff файл
+9
-0
axi_seqr.sv
+ 9
- 0
axi_seqr.sv
Просмотреть файл
@@ -0,0 +1,9 @@
class axi_seqr extends uvm_sequencer #(seq_item);
`uvm_component_utils(axi_seqr)
function new(string name="axi_seqr",uvm_component parent);
super.new(name,parent);
endfunction
endclass
Редактирование
Предпросмотр
Загрузка…
Отмена
Сохранить