mounika vor 5 Monaten
Ursprung
Commit
139158f62f
1 geänderte Dateien mit 9 neuen und 0 gelöschten Zeilen
  1. +9
    -0
      axi_seqr.sv

+ 9
- 0
axi_seqr.sv Datei anzeigen

@@ -0,0 +1,9 @@
class axi_seqr extends uvm_sequencer #(seq_item);

`uvm_component_utils(axi_seqr)
function new(string name="axi_seqr",uvm_component parent);
super.new(name,parent);
endfunction

endclass

Laden…
Abbrechen
Speichern