mounika pirms 5 mēnešiem
vecāks
revīzija
139158f62f
1 mainītis faili ar 9 papildinājumiem un 0 dzēšanām
  1. +9
    -0
      axi_seqr.sv

+ 9
- 0
axi_seqr.sv Parādīt failu

@@ -0,0 +1,9 @@
class axi_seqr extends uvm_sequencer #(seq_item);

`uvm_component_utils(axi_seqr)
function new(string name="axi_seqr",uvm_component parent);
super.new(name,parent);
endfunction

endclass

Notiek ielāde…
Atcelt
Saglabāt