Ver código fonte

mounika

main
mounika 5 meses atrás
pai
commit
139158f62f
1 arquivos alterados com 9 adições e 0 exclusões
  1. +9
    -0
      axi_seqr.sv

+ 9
- 0
axi_seqr.sv Ver arquivo

@@ -0,0 +1,9 @@
class axi_seqr extends uvm_sequencer #(seq_item);

`uvm_component_utils(axi_seqr)
function new(string name="axi_seqr",uvm_component parent);
super.new(name,parent);
endfunction

endclass

Carregando…
Cancelar
Salvar