Strona działa najlepiej z włączonym JavaScript.
Strona główna
Odkrywaj
Pomoc
Zaloguj się
kalal_mounika
/
axi_code
Obserwuj
1
Polub
0
Forkuj
0
Kod
Zgłoszenia
0
Oczekujące zmiany
0
Wydania
0
Wiki
Aktywność
Przeglądaj źródła
mounika
main
mounika
10 miesięcy temu
rodzic
f64b3b6482
commit
139158f62f
1 zmienionych plików
z
9 dodań
i
0 usunięć
Widok podzielony
Opcje porównania
Pokaż statystyki
Ściągnij plik aktualizacji
Ściągnij plik porównania
+9
-0
axi_seqr.sv
+ 9
- 0
axi_seqr.sv
Wyświetl plik
@@ -0,0 +1,9 @@
class axi_seqr extends uvm_sequencer #(seq_item);
`uvm_component_utils(axi_seqr)
function new(string name="axi_seqr",uvm_component parent);
super.new(name,parent);
endfunction
endclass
Napisz
Podgląd
Ładowanie…
Anuluj
Zapisz