mounika 5 miesięcy temu
rodzic
commit
139158f62f
1 zmienionych plików z 9 dodań i 0 usunięć
  1. +9
    -0
      axi_seqr.sv

+ 9
- 0
axi_seqr.sv Wyświetl plik

@@ -0,0 +1,9 @@
class axi_seqr extends uvm_sequencer #(seq_item);

`uvm_component_utils(axi_seqr)
function new(string name="axi_seqr",uvm_component parent);
super.new(name,parent);
endfunction

endclass

Ładowanie…
Anuluj
Zapisz