mounika hace 5 meses
padre
commit
139158f62f
Se han modificado 1 ficheros con 9 adiciones y 0 borrados
  1. +9
    -0
      axi_seqr.sv

+ 9
- 0
axi_seqr.sv Ver fichero

@@ -0,0 +1,9 @@
class axi_seqr extends uvm_sequencer #(seq_item);

`uvm_component_utils(axi_seqr)
function new(string name="axi_seqr",uvm_component parent);
super.new(name,parent);
endfunction

endclass

Cargando…
Cancelar
Guardar