mounika 5 kuukautta sitten
vanhempi
commit
139158f62f
1 muutettua tiedostoa jossa 9 lisäystä ja 0 poistoa
  1. +9
    -0
      axi_seqr.sv

+ 9
- 0
axi_seqr.sv Näytä tiedosto

@@ -0,0 +1,9 @@
class axi_seqr extends uvm_sequencer #(seq_item);

`uvm_component_utils(axi_seqr)
function new(string name="axi_seqr",uvm_component parent);
super.new(name,parent);
endfunction

endclass

Ladataan…
Peruuta
Tallenna