mounika před 5 měsíci
rodič
revize
139158f62f
1 změnil soubory, kde provedl 9 přidání a 0 odebrání
  1. +9
    -0
      axi_seqr.sv

+ 9
- 0
axi_seqr.sv Zobrazit soubor

@@ -0,0 +1,9 @@
class axi_seqr extends uvm_sequencer #(seq_item);

`uvm_component_utils(axi_seqr)
function new(string name="axi_seqr",uvm_component parent);
super.new(name,parent);
endfunction

endclass

Načítá se…
Zrušit
Uložit