Kaynağa Gözat

mounika

main
mounika 5 ay önce
ebeveyn
işleme
139158f62f
1 değiştirilmiş dosya ile 9 ekleme ve 0 silme
  1. +9
    -0
      axi_seqr.sv

+ 9
- 0
axi_seqr.sv Dosyayı Görüntüle

@@ -0,0 +1,9 @@
class axi_seqr extends uvm_sequencer #(seq_item);

`uvm_component_utils(axi_seqr)
function new(string name="axi_seqr",uvm_component parent);
super.new(name,parent);
endfunction

endclass

Yükleniyor…
İptal
Kaydet