From 139158f62f4f6bfc964cf34221a147ad8e31489c Mon Sep 17 00:00:00 2001 From: mounika Date: Wed, 8 May 2024 13:20:36 +0100 Subject: [PATCH] mounika --- axi_seqr.sv | 9 +++++++++ 1 file changed, 9 insertions(+) create mode 100644 axi_seqr.sv diff --git a/axi_seqr.sv b/axi_seqr.sv new file mode 100644 index 0000000..3ac05d1 --- /dev/null +++ b/axi_seqr.sv @@ -0,0 +1,9 @@ +class axi_seqr extends uvm_sequencer #(seq_item); + + `uvm_component_utils(axi_seqr) + + function new(string name="axi_seqr",uvm_component parent); + super.new(name,parent); + endfunction + +endclass