浏览代码

mounika

main
mounika 5 个月前
父节点
当前提交
139158f62f
共有 1 个文件被更改,包括 9 次插入0 次删除
  1. +9
    -0
      axi_seqr.sv

+ 9
- 0
axi_seqr.sv 查看文件

@@ -0,0 +1,9 @@
class axi_seqr extends uvm_sequencer #(seq_item);

`uvm_component_utils(axi_seqr)
function new(string name="axi_seqr",uvm_component parent);
super.new(name,parent);
endfunction

endclass

正在加载...
取消
保存