浏览代码

Upload files to ''

master
shivakanta_kondapalli 4 个月前
父节点
当前提交
92605228cf
共有 1 个文件被更改,包括 23 次插入0 次删除
  1. +23
    -0
      assertion.sv

+ 23
- 0
assertion.sv 查看文件

@@ -0,0 +1,23 @@
module axi_asserttions;


////// handshaking for awvalid & awready

property pro;

@(posedge clk)
disable iff(reset)

(awvalid && !awready) |=> (awvalid && awready) ##1 (!awvalid && !awready);


endproperty


property pro_n;
disable iff(reset)

!($isunknown({awaddr,awlen,awsize,awburst,awvalid})& awburst=2'b 10) |-> (awaddr%(2**awsize)==0)


endproperty

正在加载...
取消
保存