Quellcode durchsuchen

Upload files to ''

master
shivakanta_kondapalli vor 4 Monaten
Ursprung
Commit
92605228cf
1 geänderte Dateien mit 23 neuen und 0 gelöschten Zeilen
  1. +23
    -0
      assertion.sv

+ 23
- 0
assertion.sv Datei anzeigen

@@ -0,0 +1,23 @@
module axi_asserttions;


////// handshaking for awvalid & awready

property pro;

@(posedge clk)
disable iff(reset)

(awvalid && !awready) |=> (awvalid && awready) ##1 (!awvalid && !awready);


endproperty


property pro_n;
disable iff(reset)

!($isunknown({awaddr,awlen,awsize,awburst,awvalid})& awburst=2'b 10) |-> (awaddr%(2**awsize)==0)


endproperty

Laden…
Abbrechen
Speichern