S JavaScriptem funguje tato webová stránka lépe.
Domů
Procházet
Nápověda
Přihlásit se
shivakanta_kondapalli
/
new_axi
Sledovat
1
Oblíbit
0
Rozštěpit
0
Zdrojový kód
Úkoly
0
Požadavky na natažení
0
Vydání
0
Wiki
Aktivita
Procházet zdrojové kódy
Upload files to ''
master
shivakanta_kondapalli
před 6 měsíci
rodič
c9dae40f8b
revize
92605228cf
1 změnil soubory
, kde provedl
23 přidání
a
0 odebrání
Rozdělené zobrazení
Diff Options
Zobrazit statistiky
Stáhněte soubor opravy
Stáhněte rozdílový soubor
+23
-0
assertion.sv
+ 23
- 0
assertion.sv
Zobrazit soubor
@@ -0,0 +1,23 @@
module axi_asserttions;
////// handshaking for awvalid & awready
property pro;
@(posedge clk)
disable iff(reset)
(awvalid && !awready) |=> (awvalid && awready) ##1 (!awvalid && !awready);
endproperty
property pro_n;
disable iff(reset)
!($isunknown({awaddr,awlen,awsize,awburst,awvalid})& awburst=2'b 10) |-> (awaddr%(2**awsize)==0)
endproperty
Zapsat
Náhled
Načítá se…
Zrušit
Uložit