Procházet zdrojové kódy

Upload files to ''

master
shivakanta_kondapalli před 4 měsíci
rodič
revize
92605228cf
1 změnil soubory, kde provedl 23 přidání a 0 odebrání
  1. +23
    -0
      assertion.sv

+ 23
- 0
assertion.sv Zobrazit soubor

@@ -0,0 +1,23 @@
module axi_asserttions;


////// handshaking for awvalid & awready

property pro;

@(posedge clk)
disable iff(reset)

(awvalid && !awready) |=> (awvalid && awready) ##1 (!awvalid && !awready);


endproperty


property pro_n;
disable iff(reset)

!($isunknown({awaddr,awlen,awsize,awburst,awvalid})& awburst=2'b 10) |-> (awaddr%(2**awsize)==0)


endproperty

Načítá se…
Zrušit
Uložit