Bläddra i källkod

Upload files to ''

master
shivakanta_kondapalli 4 månader sedan
förälder
incheckning
92605228cf
1 ändrade filer med 23 tillägg och 0 borttagningar
  1. +23
    -0
      assertion.sv

+ 23
- 0
assertion.sv Visa fil

@@ -0,0 +1,23 @@
module axi_asserttions;


////// handshaking for awvalid & awready

property pro;

@(posedge clk)
disable iff(reset)

(awvalid && !awready) |=> (awvalid && awready) ##1 (!awvalid && !awready);


endproperty


property pro_n;
disable iff(reset)

!($isunknown({awaddr,awlen,awsize,awburst,awvalid})& awburst=2'b 10) |-> (awaddr%(2**awsize)==0)


endproperty

Laddar…
Avbryt
Spara