Bladeren bron

Upload files to ''

master
shivakanta_kondapalli 4 maanden geleden
bovenliggende
commit
92605228cf
1 gewijzigde bestanden met toevoegingen van 23 en 0 verwijderingen
  1. +23
    -0
      assertion.sv

+ 23
- 0
assertion.sv Bestand weergeven

@@ -0,0 +1,23 @@
module axi_asserttions;


////// handshaking for awvalid & awready

property pro;

@(posedge clk)
disable iff(reset)

(awvalid && !awready) |=> (awvalid && awready) ##1 (!awvalid && !awready);


endproperty


property pro_n;
disable iff(reset)

!($isunknown({awaddr,awlen,awsize,awburst,awvalid})& awburst=2'b 10) |-> (awaddr%(2**awsize)==0)


endproperty

Laden…
Annuleren
Opslaan