shivakanta_kondapalli пре 4 месеци
родитељ
комит
92605228cf
1 измењених фајлова са 23 додато и 0 уклоњено
  1. +23
    -0
      assertion.sv

+ 23
- 0
assertion.sv Прегледај датотеку

@@ -0,0 +1,23 @@
module axi_asserttions;


////// handshaking for awvalid & awready

property pro;

@(posedge clk)
disable iff(reset)

(awvalid && !awready) |=> (awvalid && awready) ##1 (!awvalid && !awready);


endproperty


property pro_n;
disable iff(reset)

!($isunknown({awaddr,awlen,awsize,awburst,awvalid})& awburst=2'b 10) |-> (awaddr%(2**awsize)==0)


endproperty

Loading…
Откажи
Сачувај