ソースを参照

Upload files to ''

master
コミット
92605228cf
1個のファイルの変更23行の追加0行の削除
  1. +23
    -0
      assertion.sv

+ 23
- 0
assertion.sv ファイルの表示

@@ -0,0 +1,23 @@
module axi_asserttions;


////// handshaking for awvalid & awready

property pro;

@(posedge clk)
disable iff(reset)

(awvalid && !awready) |=> (awvalid && awready) ##1 (!awvalid && !awready);


endproperty


property pro_n;
disable iff(reset)

!($isunknown({awaddr,awlen,awsize,awburst,awvalid})& awburst=2'b 10) |-> (awaddr%(2**awsize)==0)


endproperty

読み込み中…
キャンセル
保存