Sfoglia il codice sorgente

Upload files to ''

master
parent
commit
92605228cf
1 ha cambiato i file con 23 aggiunte e 0 eliminazioni
  1. +23
    -0
      assertion.sv

+ 23
- 0
assertion.sv Vedi File

@@ -0,0 +1,23 @@
module axi_asserttions;


////// handshaking for awvalid & awready

property pro;

@(posedge clk)
disable iff(reset)

(awvalid && !awready) |=> (awvalid && awready) ##1 (!awvalid && !awready);


endproperty


property pro_n;
disable iff(reset)

!($isunknown({awaddr,awlen,awsize,awburst,awvalid})& awburst=2'b 10) |-> (awaddr%(2**awsize)==0)


endproperty

Caricamento…
Annulla
Salva