Ver código fonte

Upload files to ''

master
shivakanta_kondapalli 4 meses atrás
pai
commit
92605228cf
1 arquivos alterados com 23 adições e 0 exclusões
  1. +23
    -0
      assertion.sv

+ 23
- 0
assertion.sv Ver arquivo

@@ -0,0 +1,23 @@
module axi_asserttions;


////// handshaking for awvalid & awready

property pro;

@(posedge clk)
disable iff(reset)

(awvalid && !awready) |=> (awvalid && awready) ##1 (!awvalid && !awready);


endproperty


property pro_n;
disable iff(reset)

!($isunknown({awaddr,awlen,awsize,awburst,awvalid})& awburst=2'b 10) |-> (awaddr%(2**awsize)==0)


endproperty

Carregando…
Cancelar
Salvar