Selaa lähdekoodia

Upload files to ''

master
shivakanta_kondapalli 4 kuukautta sitten
vanhempi
commit
92605228cf
1 muutettua tiedostoa jossa 23 lisäystä ja 0 poistoa
  1. +23
    -0
      assertion.sv

+ 23
- 0
assertion.sv Näytä tiedosto

@@ -0,0 +1,23 @@
module axi_asserttions;


////// handshaking for awvalid & awready

property pro;

@(posedge clk)
disable iff(reset)

(awvalid && !awready) |=> (awvalid && awready) ##1 (!awvalid && !awready);


endproperty


property pro_n;
disable iff(reset)

!($isunknown({awaddr,awlen,awsize,awburst,awvalid})& awburst=2'b 10) |-> (awaddr%(2**awsize)==0)


endproperty

Ladataan…
Peruuta
Tallenna