소스 검색

Upload files to ''

master
부모
커밋
92605228cf
1개의 변경된 파일23개의 추가작업 그리고 0개의 파일을 삭제
  1. +23
    -0
      assertion.sv

+ 23
- 0
assertion.sv 파일 보기

@@ -0,0 +1,23 @@
module axi_asserttions;


////// handshaking for awvalid & awready

property pro;

@(posedge clk)
disable iff(reset)

(awvalid && !awready) |=> (awvalid && awready) ##1 (!awvalid && !awready);


endproperty


property pro_n;
disable iff(reset)

!($isunknown({awaddr,awlen,awsize,awburst,awvalid})& awburst=2'b 10) |-> (awaddr%(2**awsize)==0)


endproperty

불러오는 중...
취소
저장