25개 이상의 토픽을 선택하실 수 없습니다. Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

10 lines
206 B

  1. class axi_seqr extends uvm_sequencer #(seq_item);
  2. `uvm_component_utils(axi_seqr)
  3. function new(string name="axi_seqr",uvm_component parent);
  4. super.new(name,parent);
  5. endfunction
  6. endclass