class axi_seqr extends uvm_sequencer #(seq_item); `uvm_component_utils(axi_seqr) function new(string name="axi_seqr",uvm_component parent); super.new(name,parent); endfunction endclass