No puede seleccionar más de 25 temas Los temas deben comenzar con una letra o número, pueden incluir guiones ('-') y pueden tener hasta 35 caracteres de largo.

10 líneas
206 B

  1. class axi_seqr extends uvm_sequencer #(seq_item);
  2. `uvm_component_utils(axi_seqr)
  3. function new(string name="axi_seqr",uvm_component parent);
  4. super.new(name,parent);
  5. endfunction
  6. endclass