Vous ne pouvez pas sélectionner plus de 25 sujets Les noms de sujets doivent commencer par une lettre ou un nombre, peuvent contenir des tirets ('-') et peuvent comporter jusqu'à 35 caractères.

10 lignes
206 B

  1. class axi_seqr extends uvm_sequencer #(seq_item);
  2. `uvm_component_utils(axi_seqr)
  3. function new(string name="axi_seqr",uvm_component parent);
  4. super.new(name,parent);
  5. endfunction
  6. endclass