浏览代码

axi_coverage

master
gandra_pravalika 4 个月前
父节点
当前提交
813001ecfd
共有 1 个文件被更改,包括 29 次插入0 次删除
  1. +29
    -0
      axi_coverage.txt

+ 29
- 0
axi_coverage.txt 查看文件

@@ -0,0 +1,29 @@
class coverage extends uvm_subscriber;
`uvm_component_utils(coverage)
seq_item s;

covergroup cg;
@(posedge clk)
coverpoint awaddr{bins a1[]={[00,16'FFFFFFFF]};}
coverpoint awlen{bins a2[]={[1:16]};}
coverpoint awsize{bins a3[]={[0:7]};}
coverpoint awburst{bins a41[]={00,01,10};
ignore bins a42={b11};}
endgroup


covergroup cg1;
@(posedge clk)
coverpoint bresp{bins b1[]={00,01};
illegal bins b2[]={10,11};}
endgroup


function new();
cg=new();
cg1=new();
cg.sample();
cg1.sample();
endfunction



正在加载...
取消
保存