From 813001ecfd88129f2913e7af0d92c51f7623a586 Mon Sep 17 00:00:00 2001 From: gandra_pravalika Date: Fri, 10 May 2024 07:35:22 +0100 Subject: [PATCH] axi_coverage --- axi_coverage.txt | 29 +++++++++++++++++++++++++++++ 1 file changed, 29 insertions(+) create mode 100644 axi_coverage.txt diff --git a/axi_coverage.txt b/axi_coverage.txt new file mode 100644 index 0000000..fbe9328 --- /dev/null +++ b/axi_coverage.txt @@ -0,0 +1,29 @@ +class coverage extends uvm_subscriber; +`uvm_component_utils(coverage) +seq_item s; + +covergroup cg; +@(posedge clk) +coverpoint awaddr{bins a1[]={[00,16'FFFFFFFF]};} +coverpoint awlen{bins a2[]={[1:16]};} +coverpoint awsize{bins a3[]={[0:7]};} +coverpoint awburst{bins a41[]={00,01,10}; + ignore bins a42={b11};} +endgroup + + +covergroup cg1; +@(posedge clk) +coverpoint bresp{bins b1[]={00,01}; + illegal bins b2[]={10,11};} +endgroup + + +function new(); +cg=new(); +cg1=new(); +cg.sample(); +cg1.sample(); +endfunction + +