Quellcode durchsuchen

axi_coverage

master
gandra_pravalika vor 4 Monaten
Ursprung
Commit
813001ecfd
1 geänderte Dateien mit 29 neuen und 0 gelöschten Zeilen
  1. +29
    -0
      axi_coverage.txt

+ 29
- 0
axi_coverage.txt Datei anzeigen

@@ -0,0 +1,29 @@
class coverage extends uvm_subscriber;
`uvm_component_utils(coverage)
seq_item s;

covergroup cg;
@(posedge clk)
coverpoint awaddr{bins a1[]={[00,16'FFFFFFFF]};}
coverpoint awlen{bins a2[]={[1:16]};}
coverpoint awsize{bins a3[]={[0:7]};}
coverpoint awburst{bins a41[]={00,01,10};
ignore bins a42={b11};}
endgroup


covergroup cg1;
@(posedge clk)
coverpoint bresp{bins b1[]={00,01};
illegal bins b2[]={10,11};}
endgroup


function new();
cg=new();
cg1=new();
cg.sample();
cg1.sample();
endfunction



Laden…
Abbrechen
Speichern