소스 검색

axi_coverage

master
gandra_pravalika 4 달 전
부모
커밋
813001ecfd
1개의 변경된 파일29개의 추가작업 그리고 0개의 파일을 삭제
  1. +29
    -0
      axi_coverage.txt

+ 29
- 0
axi_coverage.txt 파일 보기

@@ -0,0 +1,29 @@
class coverage extends uvm_subscriber;
`uvm_component_utils(coverage)
seq_item s;

covergroup cg;
@(posedge clk)
coverpoint awaddr{bins a1[]={[00,16'FFFFFFFF]};}
coverpoint awlen{bins a2[]={[1:16]};}
coverpoint awsize{bins a3[]={[0:7]};}
coverpoint awburst{bins a41[]={00,01,10};
ignore bins a42={b11};}
endgroup


covergroup cg1;
@(posedge clk)
coverpoint bresp{bins b1[]={00,01};
illegal bins b2[]={10,11};}
endgroup


function new();
cg=new();
cg1=new();
cg.sample();
cg1.sample();
endfunction



불러오는 중...
취소
저장