瀏覽代碼

Upload files to ''

main
shivakanta_kondapalli 4 月之前
父節點
當前提交
4b6fd14b7c
共有 1 個檔案被更改,包括 23 行新增0 行删除
  1. +23
    -0
      assertion.sv

+ 23
- 0
assertion.sv 查看文件

@@ -0,0 +1,23 @@
module axi_asserttions;


////// handshaking for awvalid & awready

property pro;

@(posedge clk)
disable iff(reset)

(awvalid && !awready) |=> (awvalid && awready) ##1 (!awvalid && !awready);


endproperty


property pro_n;
disable iff(reset)

!($isunknown({awaddr,awlen,awsize,awburst,awvalid})& awburst=2'b 10) |-> (awaddr%(2**awsize)==0)


endproperty

Loading…
取消
儲存