shivakanta_kondapalli 4 місяці тому
джерело
коміт
4b6fd14b7c
1 змінених файлів з 23 додано та 0 видалено
  1. +23
    -0
      assertion.sv

+ 23
- 0
assertion.sv Переглянути файл

@@ -0,0 +1,23 @@
module axi_asserttions;


////// handshaking for awvalid & awready

property pro;

@(posedge clk)
disable iff(reset)

(awvalid && !awready) |=> (awvalid && awready) ##1 (!awvalid && !awready);


endproperty


property pro_n;
disable iff(reset)

!($isunknown({awaddr,awlen,awsize,awburst,awvalid})& awburst=2'b 10) |-> (awaddr%(2**awsize)==0)


endproperty

Завантаження…
Відмінити
Зберегти