Bu web sitesi JavaScript ile daha iyi çalışır.
Ana Sayfa
Keşfet
Yardım
Giriş Yap
shivakanta_kondapalli
/
shivakanta_project
İzle
1
Yıldızla
0
Çatalla
0
Kod
Konular
0
Değişiklik İstekleri
0
Sürümler
0
Wiki
Aktivite
Kaynağa Gözat
Upload files to ''
main
shivakanta_kondapalli
1 yıl önce
ebeveyn
7288728f2f
işleme
4b6fd14b7c
1 değiştirilmiş dosya
ile
23 ekleme
ve
0 silme
Görünümü Böl
Diff Seçenekleri
İstatistikleri Göster
Yama Dosyasını İndir
Diff Dosyasını İndir
+23
-0
assertion.sv
+ 23
- 0
assertion.sv
Dosyayı Görüntüle
@@ -0,0 +1,23 @@
module axi_asserttions;
////// handshaking for awvalid & awready
property pro;
@(posedge clk)
disable iff(reset)
(awvalid && !awready) |=> (awvalid && awready) ##1 (!awvalid && !awready);
endproperty
property pro_n;
disable iff(reset)
!($isunknown({awaddr,awlen,awsize,awburst,awvalid})& awburst=2'b 10) |-> (awaddr%(2**awsize)==0)
endproperty
Yaz
Önizleme
Yükleniyor…
İptal
Kaydet