Ви не можете вибрати більше 25 тем Теми мають розпочинатися з літери або цифри, можуть містити дефіси (-) і не повинні перевищувати 35 символів.

5 місяці тому
12345678
  1. class axi_sqr extends uvm_sequencer #(axi_tx);
  2. `uvm_component_utils(axi_sqr)
  3. `NEW_COMP
  4. function void build_phase(uvm_phase phase);
  5. super.build_phase (phase);
  6. endfunction
  7. endclass