Nevar pievienot vairāk kā 25 tēmas Tēmai ir jāsākas ar burtu vai ciparu, tā var saturēt domu zīmes ('-') un var būt līdz 35 simboliem gara.

pirms 5 mēnešiem
12345678
  1. class axi_sqr extends uvm_sequencer #(axi_tx);
  2. `uvm_component_utils(axi_sqr)
  3. `NEW_COMP
  4. function void build_phase(uvm_phase phase);
  5. super.build_phase (phase);
  6. endfunction
  7. endclass