Não pode escolher mais do que 25 tópicos Os tópicos devem começar com uma letra ou um número, podem incluir traços ('-') e podem ter até 35 caracteres.

axi_sqr.sv 181 B

há 5 meses
12345678
  1. class axi_sqr extends uvm_sequencer #(axi_tx);
  2. `uvm_component_utils(axi_sqr)
  3. `NEW_COMP
  4. function void build_phase(uvm_phase phase);
  5. super.build_phase (phase);
  6. endfunction
  7. endclass