You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

9 lines
181 B

  1. class axi_sqr extends uvm_sequencer #(axi_tx);
  2. `uvm_component_utils(axi_sqr)
  3. `NEW_COMP
  4. function void build_phase(uvm_phase phase);
  5. super.build_phase (phase);
  6. endfunction
  7. endclass