class axi_sqr extends uvm_sequencer #(axi_tx); `uvm_component_utils(axi_sqr) `NEW_COMP function void build_phase(uvm_phase phase); super.build_phase (phase); endfunction endclass