您最多选择25个主题 主题必须以字母或数字开头,可以包含连字符 (-),并且长度不得超过35个字符

42 行
691 B

  1. `include "uvm_pkg.sv"
  2. import uvm_pkg ::*;
  3. `include "uvm_macros.svh"
  4. `define WIDTH 32
  5. `define DEPTH 64
  6. `define ADDR_WIDTH $clog2(`DEPTH)
  7. `include "axi_tx.sv"
  8. `include "axi_seq_lib.sv"
  9. `include "axi_sqr.sv"
  10. `include "axi_drv.sv"
  11. `include "axi_mon.sv"
  12. `include "axi_cov.sv"
  13. `include "axi_agent.sv"
  14. `include "axi_env.sv"
  15. module top;
  16. reg clk, rst;
  17. axi_intf pif(clk,rst);
  18. axi_env env = new();
  19. initial begin
  20. clk = 0;
  21. forever #5 clk = ~clk;
  22. end
  23. initial begin
  24. rst = 1;
  25. repeat(2) @(posedge clk);
  26. rst = 0;
  27. // #1000;
  28. // $finish;
  29. end
  30. initial begin
  31. run_test("axi_wr_rd_test");
  32. end
  33. //initial begin
  34. // $dumpvars();
  35. // $dumpfile("1.vcd");
  36. //end
  37. endmodule