| 
                        123456789101112 | 
                        - class axi_env extends uvm_env;
 - axi_agent magent;
 - `uvm_component_utils(axi_env);// factory registration
 - `NEW_COMP
 - function void build_phase(uvm_phase phase);
 - 	super.build_phase(phase);
 - 	magent = axi_agent::type_id::create("magent", this);
 - 	uvm_config_db#(int)::set(this, "magent", "mst_slv_f", `MSTR);
 - endfunction
 - endclass
 - 
 - 
 
 
  |