選択できるのは25トピックまでです。 トピックは、先頭が英数字で、英数字とダッシュ('-')を使用した35文字以内のものにしてください。

axi_env.sv 336 B

123456789101112
  1. class axi_env extends uvm_env;
  2. axi_agent magent;
  3. `uvm_component_utils(axi_env);// factory registration
  4. `NEW_COMP
  5. function void build_phase(uvm_phase phase);
  6. super.build_phase(phase);
  7. magent = axi_agent::type_id::create("magent", this);
  8. uvm_config_db#(int)::set(this, "magent", "mst_slv_f", `MSTR);
  9. endfunction
  10. endclass