You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

13 lines
336 B

  1. class axi_env extends uvm_env;
  2. axi_agent magent;
  3. `uvm_component_utils(axi_env);// factory registration
  4. `NEW_COMP
  5. function void build_phase(uvm_phase phase);
  6. super.build_phase(phase);
  7. magent = axi_agent::type_id::create("magent", this);
  8. uvm_config_db#(int)::set(this, "magent", "mst_slv_f", `MSTR);
  9. endfunction
  10. endclass