25개 이상의 토픽을 선택하실 수 없습니다.
Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
|
- class axi_seqr extends uvm_sequencer #(seq_item);
-
- `uvm_component_utils(axi_seqr)
-
- function new(string name="axi_seqr",uvm_component parent);
- super.new(name,parent);
- endfunction
-
- endclass
|