Vous ne pouvez pas sélectionner plus de 25 sujets
Les noms de sujets doivent commencer par une lettre ou un nombre, peuvent contenir des tirets ('-') et peuvent comporter jusqu'à 35 caractères.
|
- class axi_seqr extends uvm_sequencer #(seq_item);
-
- `uvm_component_utils(axi_seqr)
-
- function new(string name="axi_seqr",uvm_component parent);
- super.new(name,parent);
- endfunction
-
- endclass
|