Nelze vybrat více než 25 témat Téma musí začínat písmenem nebo číslem, může obsahovat pomlčky („-“) a může být dlouhé až 35 znaků.

před 5 měsíci
123456789
  1. class axi_seqr extends uvm_sequencer #(seq_item);
  2. `uvm_component_utils(axi_seqr)
  3. function new(string name="axi_seqr",uvm_component parent);
  4. super.new(name,parent);
  5. endfunction
  6. endclass